Tidy up indentation

This commit is contained in:
Jakub Wilk 2023-04-24 09:18:27 +02:00 committad av Albert S
förälder 7323492836
incheckning 24bd0097b2
1 ändrade filer med 20 tillägg och 19 borttagningar

Visa fil

@ -36,7 +36,8 @@ size_t pwbufsize = 0;
size_t pwindex = 0; size_t pwindex = 0;
int current_mode = MODE_ECHO; int current_mode = MODE_ECHO;
void enter_raw_mode() { void enter_raw_mode()
{
struct termios raw = saved_termios; struct termios raw = saved_termios;
raw.c_lflag &= ~(ECHO | ICANON); raw.c_lflag &= ~(ECHO | ICANON);
if(tcsetattr(STDIN_FILENO, TCSAFLUSH, &raw) != 0) if(tcsetattr(STDIN_FILENO, TCSAFLUSH, &raw) != 0)